Intel's Panther Lake CPUs Boot Up on Advanced 18A Node, Signaling Progress

BigGo Editorial Team
Intel's Panther Lake CPUs Boot Up on Advanced 18A Node, Signaling Progress

Intel has reached a significant milestone in its roadmap to regain semiconductor manufacturing leadership, with CEO Pat Gelsinger announcing that the company's next-generation Panther Lake processors have successfully booted operating systems on the advanced 18A process node.

Intel's Panther Lake processors successfully booting on the advanced 18A process node
Intel's Panther Lake processors successfully booting on the advanced 18A process node

Key Developments

  • Panther Lake client processors and Clearwater Forest data center chips have powered on and booted operating systems
  • Both achieved this less than two quarters after taping out, keeping on schedule for 2025 production
  • 18A node implements RibbonFET gate-all-around architecture and PowerVia backside power delivery
  • Intel claims this combination is an industry first for a foundry offering

Technical Advancements

The 18A process node, classified as a 1.8nm-class technology, brings two critical innovations:

  1. RibbonFET: A gate-all-around transistor architecture that increases performance
  2. PowerVia: A backside power delivery system particularly beneficial for power-hungry data center chips

Intel suggests these technologies could allow 18A to potentially outperform TSMC's upcoming 3nm and 2nm nodes in certain workloads, though real-world testing will be needed to verify such claims.

Foundry Aspirations

The 18A node is crucial for Intel's foundry ambitions. The company released version 1.0 of the process design kit (PDK) to partners in July, enabling third-party chip development. Intel reports high interest, with external foundry customers already designing on the node.

Kevin O'Buckley, Intel's SVP and GM of Foundry Services, stated: We are pioneering multiple systems foundry technologies for the AI era and delivering a full stack of innovation that's essential to the next generation of products for Intel and our foundry customers.

Outlook

While these developments are promising, Intel still faces significant challenges in its bid to catch up with and surpass foundry leader TSMC. The success of Panther Lake and the 18A node will be critical in determining whether Intel can deliver on its ambitious roadmap and re-establish itself as a semiconductor manufacturing leader.

As the tech industry watches closely, Intel aims to begin production of 18A-based chips in 2025, marking a potential turning point in the global semiconductor landscape.